企业集团

首页 > 光纤系统 > 光纤布线

ChatGPT设计并制造出首颗微处理器!芯片设计工程师工作危险了?

ChatGPT设计并制造出首颗微处理器!芯片设计工程师工作危险了?

来源:淘金网官网入口    发布时间:2023-10-30 07:12:30 1
正在以几个月前还不可能的方式帮助人们进行研究、学习和创造。如今它慢慢的开始进入硬件设计等领域。 纽约大学坦登电气与计算机工程系以及网络安全中心的研究助理教授皮尔斯博士(Dr. Hammo

  正在以几个月前还不可能的方式帮助人们进行研究、学习和创造。如今它慢慢的开始进入硬件设计等领域。

  纽约大学坦登电气与计算机工程系以及网络安全中心的研究助理教授皮尔斯博士(Dr. Hammond Pearce)介绍说,他的团队研究项目Chip Chat的灵感来源于想要探索现有生成人工智能大语言模型(LLM)在硬件设计领域的能力和局限性的愿望。

  “我们很想知道这些模型有多好,”他说,“很多人认为这些模型真的只是玩具,而我不这样认为,现在它们还没有无处不在,不过未来它的应用肯定会得到普及,这就是为什么我们做Chip Chat——几乎像是一个概念验证演示。”

  使用基于聊天的人工智能助手能够在一定程度上帮助解决芯片设计行业的一个巨大挑战:硬件描述语言(HDL)。虽然像Verilog这样的HDL代码对设计微处理器至关重要,但它们需要非常专业的知识。

  硬件描述语言(HDL),是电子系统硬件行为描述、结构描述、数据流描述的语言。利用这种语言,数字电路系统的设计可以从顶层到底层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。

  然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用或现场可编程门系列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。

  硬件描述语言(HDL)的发展至今已有很多年的历史,并成功地应用于设计的各个阶段:建模、仿线年代,已出现了上百种硬件描述语言,对设计自动化曾起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域和层次,而且众多的语言使用户无所适从。因此,急需一种面向设计的多领域、多层次并得到普遍认同的标准硬件描述语言。20世纪80年代后期,VHDL和Verilog HDL语言适应了这种趋势的要求,先后成为IEEE 标准。

  皮尔斯博士说:“硬件描述语言面临的最大挑战是,没多少人知道怎么编写它们。成为这方面的专家很难,这在某种程度上预示着我们仍然有最好的工程师用这些语言做琐碎的事情,因没有那么多工程师可以做这些事情。”

  在他看来,AI可以加速工程师的产出,这样人工智能就能够迅速完成简单的事情,工程师也可以把脑力集中在更难的事情上。

  通过使硬件定义更容易生成,该团队相信他们能够使IC设计更容易访问,并使HDL专家能够专注于更重要的任务。根据该团队的说法,通过基于聊天的生成人工智能,工程师可以用简单的英语而不是HDL设计微处理器。

  通过Chip Chat,该团队成功地设计了一个基于8位累加器的微处理器,其功能与可比较的PI产品相同。然后将该芯片发送到Skywater 130nm穿梭机上进行制造。该团队声称,这项研究标志着LLM设计的IC首次真正制造出来。

  事实上,在ChatGPT出现之后,就有不少人开始探讨它在芯片设计领域的潜在应用。芯片设计是一项高度技术密集的工作,需要精通电子、材料、物理等多个领域的专业相关知识。

  通常,它需要一些专业的EDA(Electronic Design Automation)工具,例如逻辑综合、布局与布线、时序分析等。但是,这些EDA工具需要用户有丰富的专业知识和丰富的设计经验,且需要用户手动输入复杂的指令和参数,这使得芯片设计成为一个复杂而费时的过程。

  业内人士认为,ChatGPT的自然语言处理技术能为芯片设计提供一种全新的方式。ChatGPT能够最终靠学习已有的芯片设计数据和文档,自动生成芯片设计的指令和参数,并提供对芯片设计问题的解决方案的自然语言描述。这种方式能减少芯片设计过程中的人为错误,提高芯片设计的效率和准确性。

  ChatGPT的代码生成能力也能够极大的加速芯片设计的速度。有这样一个案例,量子控制处理器是量子计算机的核心控制部分,有人用ChatGPT合成了一个量子控制处理器的代码,如下:

  据介绍,虽然这段代码有些许小问题,比如ChatGPT并不能很好地实现时序的控制,会出现浪费时钟周期的现象,但是这段代码可正确编译,并且是一个有效的设计方案。

  另外此前也有人好奇ChatGPT能不能写出一段Verilog代码,于是就做了尝试。发现,它不仅能写出一段代码,甚至还能加上一段解释,这位让ChatGPT写Verilog代码的业内人士说,完整代码很长,自己也没有验证,不过大概看下来,功能基本都有。

  对于过于复杂的功能,比如以太网协议栈,当第一次让它写的时候,它提示太难写不出来,但是把对话复位后,再让它写,它就能写一个大概的module,里面只有写接口,后面又复位对话后再重新让它写,写出来的程序就更多了。这说明它的学习能力一直在增长。

  虽然ChatGPT在芯片设计中有它的优势,同时也存在一些限制,比如,ChatGPT需要大量的芯片设计数据和文档作为训练数据。这一些数据需要经过标注和清理,以保证ChatGPT能够准确地学习芯片设计的知识和规律。此外,芯片设计数据的数量和质量直接影响ChatGPT在芯片设计中的准确性和效率。

  再比如,芯片设计中的一些细节问题,例如噪声、功耗等方面的考虑,在大多数情况下要ChatGPT结合EDA工具进行深度优化。在这种情况下,ChatGPT将需要学习和理解EDA工具的功能和限制,以生成更加准确和可行的芯片设计指令和参数。

  也因此,ChatGPT在芯片设计中的应用,并不能完全取代人类工程师的工作。皮尔斯博士表示,这些人工智能总是会有没办法完成的工具和工作。它们生产的产品总是会有弱点。

  在ChatGPT出现之后,基于其强大的文字、代码生成能力等,人们开始探索它在所有的领域的应用,包括在芯片设计方面。也有工程师利用它写Verilog代码,基本功能都能实现。如今纽约大学利用更是利用它设计并制造出了一颗微处理器,这样ChatGPT在芯片设计上的应用更进一步,而且ChatGPT的存在也能够真正地解决芯片设计中一直难以解决的挑战。

  完成模块的版图设计;3、做好DRC、LVS、LVL、GDS、NETLIST

  过程吗?还有一个问题,从电脑往单片机里面下载程序是二进制代码,这些进入单片机高低电平在起了啥作业,把单片机内部的电路进行了怎样的改变

  的品牌达几十家,这中间还包括欧美、日、韩、台湾以及大陆的众多原厂品牌。还包括有ARM、 PowerPC、MIPS、SPARC等多种内核与架构的

  【RK公开课】3A-AWB 参数介绍 统计介绍 - RKDC202-1

  纯干货讲解-电源PCB画板原则总结#跟着UP主一起创作吧 #硬件设计遇到过哪些坑?

评论一舟